最終更新:2010-03-07 (日) 14:06:00 (5157d)  

Quartus II
Top / Quartus II

http://www.altera.co.jp/support/software/sof-quartus.html

エディション

Quartus II ウェブ・エディション

http://www.altera.co.jp/products/software/quartus-ii/web-edition/qts-we-index.html

  • サポートするデバイス
  • 機能
    • VHDL または Verilog HDL シミュレーション用のMentor Graphics® ModelSim?®-Altera® Starter Edition ソフトウェア の Web Edition バージョン - ライセンス不要
    • Microsoft Windows オペレーティング・システム(OS)のサポート
    • 無償のOpenCore Plus?評価機能
    • デザイン・エントリー、合成、検証、および最適化ツール

Quartus II サブスクリプション・エディション

http://www.altera.co.jp/products/software/quartus-ii/subscription-edition/qts-se-index.html

  • ハイエンド、高性能 FPGA および HardCopy ASIC? などのすべてのアルテラ・デバイスに対する完全なサポート
  • VHDL または Verilog HDL シミュレーション用のModelSim?-Alteraツール
  • 広範なオペレーティング・システム(OS)のサポート
  • アルテラのIP(Intellectual Property)Base Suite MegaCore?® ファンクションのフル・ライセンス
  • インクリメンタル・コンパイルによるコンパイル時間の短縮
  • HardCopy ASIC? デザイン・フローのサポート

このPDFへのリンク